CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fft ip

搜索资源列表

  1. 1024_FFT

    1下载:
  2. 1024点FFT快速傅立叶变换,包含说明文档和VHDL源代码,16位输入/输出,带DMA功能,xilinx的ip-1024-point FFT fast Fourier transform, and includes documentation, VHDL source code, 16 input / output, with DMA function, the ip xilinx
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:629675
    • 提供者:刘超
  1. FFT_IP

    0下载:
  2. Xilinx FPGA 的IP核,实现FFT功能的-Xilinx FPGA IP core, FFT function
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:419604
    • 提供者:zxinkai
  1. 81i_radix2_xfft1024_v3_2

    0下载:
  2. xilinx FFT using ip core project navigator-xilinx ip using FFT core project navigator
  3. 所属分类:数值算法/人工智能

    • 发布日期:2008-10-13
    • 文件大小:1433181
    • 提供者:ningchang
  1. fftipcore

    0下载:
  2. 该程序是vhdl语言编写的fft变换的ip核代码,程序中共包含了36个.vhd文件-that the procedure was prepared by the vhdl language fft transform ip nuclear code CPC procedures contained 36. vhd documents
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:29774
    • 提供者:袁汇
  1. fft_IPcore

    4下载:
  2. 这是一个fft的IP核,安装要求为quartus6.0以上。解压安装后可在quartus里例化使用,元件主要为cyclone和stratix,最大支持1024点的转换。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8720009
    • 提供者:李杰
  1. STFT

    4下载:
  2. 短时傅里叶变换的FPGA实现零重复度使用了fft的IP核设计-When the Fourier transform of the FPGA to achieve zero repeatability using fft IP core design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-04-22
    • 文件大小:1024
    • 提供者:wang
  1. fftip_1k

    0下载:
  2. FFT IP核调用 VHDL语言 quartus -FFT IP core VHDL language called quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-15
    • 文件大小:23329262
    • 提供者:王彩燕
  1. FFTPVerilog

    1下载:
  2. FFT Verilog RTL 经过测试与Altera FFT IP相当-FFT Verilog RTL Altera FFT IP
  3. 所属分类:software engineering

    • 发布日期:2017-03-24
    • 文件大小:8036
    • 提供者:liu
  1. fft_test

    0下载:
  2. ALTERA的FFT IP核时序的仿真,verilog语言。采用burst方式,FFT点数2048点-FFT IP core of timing simulation ALTERA, verilog language. Using burst mode, FFT points 2048 points
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-08
    • 文件大小:25623552
    • 提供者:vincentspace
  1. fft-IPcore

    0下载:
  2. verilog编写,基于ISEfft的ip核研究,数据生成采用matlab,有仿真截图-verilog written, ip nuclear research ISEfft based on data generated using matlab, there are simulation screenshot
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6795209
    • 提供者:段彦亮
  1. fft512_ipcore

    0下载:
  2. 512点的FFT 使用IP核 帮助新手理解-Using a 512-point FFT IP core to help the novice to understand
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16472422
    • 提供者:胡祺勇
  1. FFT

    0下载:
  2. 基于Altera Cyclone II 系列FPGA嵌入高性能的嵌入式IP核(Nios)处理器软核,实现了基于FFT的音频信号分析-Altera Cyclone II FPGA family based embedded high-performance embedded IP core (Nios) soft core processor to achieve a FFT-based audio signal analysis
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-13
    • 文件大小:2403
    • 提供者:季云
  1. fft_512

    0下载:
  2. 采用Xilinx提供的VHDL FFT ip核实现512点FFT,可以实现使能控制、时钟控制等功能-Using Xilinx provides VHDL FFT ip core to achieve implementation of 512 points FFT, with enable control, clock control and other functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6609166
    • 提供者:Horace Sun
  1. fft

    0下载:
  2. 基于fpga的fft变换,用ip核实现。用vhdl编写-Fpga based fft transform, use ip core implementation. Written in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4432076
    • 提供者:任天鹏
  1. cf-fft

    0下载:
  2. 用ip核实现fft。用vhdl编写。altera的fpga-Ip core implementation using fft. Written in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5502235
    • 提供者:任天鹏
  1. fft_streaming

    0下载:
  2. 关于QuartusII FFT ip核的使用,采用Streaming模式,包含Modelsim仿真程序-About QuartusII FFT ip nuclear use, using Streaming mode, including Modelsim simulation program
  3. 所属分类:Other systems

    • 发布日期:2017-06-13
    • 文件大小:20543772
    • 提供者:ld
  1. FFT

    0下载:
  2. verilog xilinx IP实现FFT仿真-Verilog xilinx IP implementation FFT simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2973433
    • 提供者:欧阳
  1. fft512

    1下载:
  2. 基于verilog IP核的FFT工程,512位FFT运算,(FFT engineering based on Verilog IP kernel and 512 bit FFT operation,)
  3. 所属分类:其他

    • 发布日期:2017-12-16
    • 文件大小:54045696
    • 提供者:paulwww
  1. pipelined_fft_64-master

    0下载:
  2. Pipelined FFT/IFFT 64 points (Fast Fourier Transform) IP Core User Manual
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-19
    • 文件大小:100352
    • 提供者:Ethan12
  1. exp_fft_test_724

    0下载:
  2. 在quartus软件中调用FFT的IP核,编辑IP核的驱动模块,使得IP核读入数据进行处理,输出数据。使用modelsim进行联合仿真。(In the quartus software, the IP kernel of FFT is called, and the driver module of the IP kernel is edited, so that the IP kernel is read into the data for processing and output data
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:27558912
    • 提供者:XHF72
« 1 2 3 45 »
搜珍网 www.dssz.com